集成电路分析与设计09021112

集成电路分析与设计

课程实验报告

实验2 Linux环境下基本操作

一、 实验内容(含实验原理介绍):

1. 系统登录

2. 创建终端和工作文件夹 3. 文件压缩 4. 文件编辑、阅读 5. 链接命令 6. 帮助命令

二、 实验目的:

1. 熟悉Linux文件、目录管理命令; 2. 熟悉Linux文件链接命令; 3. 熟悉Linux下文件编辑命令。

三、 设计实验的相关情况介绍(包含使用软件或实验设备等情况):

集成电路设计终端;Linux RedHat 9

四、 实验结果:

(包括Linux实验练习中所使用的命令,综合实验练习中的数据结果(列表)和调

整后的约束条件,综合实验的思考题。): 练习1:将/home/tools/cadence/training/holygan0712下的filter_lab.tar.gz拷贝到实验目录下

mkdir 09021112

cd 09021112

cp//home/tools/cadence/training/holygan0712/filter_lab.tar.gz./ 将/home/tools/cadence/training/holygan0712filter_lab_report.pdf拷贝到工作目录下

下的

filter_lab.pdf

cp//home/tools/cadence/training/holygan0712/filter_lab.pdf./

cp//home/tools/cadence/training/holygan0712/filter_lab_report.pdf./

练习2:删除test.tar.gz。 rm –rf test.tar.gz

将filter_lab.tar.gz解压到工作目录下。

Tar zxvf filter_lab.tar.gz

练习3:删除工作目录/ filter_lab/下名为library的符号链接。 rm –rf ~/09021112/filter_lab/library

建立指向/home/tools/cadence/training/holygan0712/的符号链接library。 Ln –s/home/tools/cadence/training/holygan0712/library Ls -l

实验3 RTLCompiler对数字低通滤波器电路的综合

一、 实验内容(含实验原理介绍):

1. 设置环境变量

2. 图形模式下的综合 3. 命令模式下的综合

二、 实验目的:

1. 掌握Cadence RTLCompiler综合工具的基本作用,输入、输入文件; 2. 熟悉Cadence RTLCompiler命令模式的使用方法; 3. 了解Cadence RTLCompiler图形模式的使用方法。

三、 设计实验的相关情况介绍(包含使用软件或实验设备等情况):

集成电路设计终端;Linux RedHat 9;Cadence RTLCompiler。

四、 实验结果:

包括Linux实验练习中所使用的命令,综合实验练习中的数据结果(列表)和调整

后的约束条件,综合实验的思考题。): 练习1:记录此时的时钟频率、最长路径延迟时间、面积、功耗。

察并记录此时电路的最长路径延迟时间、面积、功耗,并与练习1的结果比较。记录如下所示:

通过对上面的数据分析,可以清楚的得到,功耗时域面积成正比的,面积越大,功耗越大,随着始终频率的增大,最长路径的延迟时间减小。

思考题:在增加时钟频率时,综合结果是否能一直满足时序要求?为什么? 答:不满足,时钟频率过高,会存在时序违反问题。

实验4 NC对数字低通滤波器电路的仿真

一、 实验内容(含实验原理介绍):

1. 首先输入nclaunch & 2. 建立工作环境 3. 编译 4. Elaborate 5. 仿真

二、 实验目的:

1. 掌握Candence NC仿真软件的基本作用,输入、输入文件; 2. 熟悉Candence NC命令模式的使用; 3. 熟悉Candence NC图形模式的使用。

三、 设计实验的相关情况介绍(包含使用软件或实验设备等情况):

集成电路设计终端,Candence NC

四、 实验结果

包括Linux实验练习中所使用的命令,综合实验练习中的数据结果(列表)和调整

后的约束条件,综合实验的思考题。):

集成电路分析与设计

课程实验报告

实验2 Linux环境下基本操作

一、 实验内容(含实验原理介绍):

1. 系统登录

2. 创建终端和工作文件夹 3. 文件压缩 4. 文件编辑、阅读 5. 链接命令 6. 帮助命令

二、 实验目的:

1. 熟悉Linux文件、目录管理命令; 2. 熟悉Linux文件链接命令; 3. 熟悉Linux下文件编辑命令。

三、 设计实验的相关情况介绍(包含使用软件或实验设备等情况):

集成电路设计终端;Linux RedHat 9

四、 实验结果:

(包括Linux实验练习中所使用的命令,综合实验练习中的数据结果(列表)和调

整后的约束条件,综合实验的思考题。): 练习1:将/home/tools/cadence/training/holygan0712下的filter_lab.tar.gz拷贝到实验目录下

mkdir 09021112

cd 09021112

cp//home/tools/cadence/training/holygan0712/filter_lab.tar.gz./ 将/home/tools/cadence/training/holygan0712filter_lab_report.pdf拷贝到工作目录下

下的

filter_lab.pdf

cp//home/tools/cadence/training/holygan0712/filter_lab.pdf./

cp//home/tools/cadence/training/holygan0712/filter_lab_report.pdf./

练习2:删除test.tar.gz。 rm –rf test.tar.gz

将filter_lab.tar.gz解压到工作目录下。

Tar zxvf filter_lab.tar.gz

练习3:删除工作目录/ filter_lab/下名为library的符号链接。 rm –rf ~/09021112/filter_lab/library

建立指向/home/tools/cadence/training/holygan0712/的符号链接library。 Ln –s/home/tools/cadence/training/holygan0712/library Ls -l

实验3 RTLCompiler对数字低通滤波器电路的综合

一、 实验内容(含实验原理介绍):

1. 设置环境变量

2. 图形模式下的综合 3. 命令模式下的综合

二、 实验目的:

1. 掌握Cadence RTLCompiler综合工具的基本作用,输入、输入文件; 2. 熟悉Cadence RTLCompiler命令模式的使用方法; 3. 了解Cadence RTLCompiler图形模式的使用方法。

三、 设计实验的相关情况介绍(包含使用软件或实验设备等情况):

集成电路设计终端;Linux RedHat 9;Cadence RTLCompiler。

四、 实验结果:

包括Linux实验练习中所使用的命令,综合实验练习中的数据结果(列表)和调整

后的约束条件,综合实验的思考题。): 练习1:记录此时的时钟频率、最长路径延迟时间、面积、功耗。

察并记录此时电路的最长路径延迟时间、面积、功耗,并与练习1的结果比较。记录如下所示:

通过对上面的数据分析,可以清楚的得到,功耗时域面积成正比的,面积越大,功耗越大,随着始终频率的增大,最长路径的延迟时间减小。

思考题:在增加时钟频率时,综合结果是否能一直满足时序要求?为什么? 答:不满足,时钟频率过高,会存在时序违反问题。

实验4 NC对数字低通滤波器电路的仿真

一、 实验内容(含实验原理介绍):

1. 首先输入nclaunch & 2. 建立工作环境 3. 编译 4. Elaborate 5. 仿真

二、 实验目的:

1. 掌握Candence NC仿真软件的基本作用,输入、输入文件; 2. 熟悉Candence NC命令模式的使用; 3. 熟悉Candence NC图形模式的使用。

三、 设计实验的相关情况介绍(包含使用软件或实验设备等情况):

集成电路设计终端,Candence NC

四、 实验结果

包括Linux实验练习中所使用的命令,综合实验练习中的数据结果(列表)和调整

后的约束条件,综合实验的思考题。):


相关内容

  • 数字电路 实验
  • <数字逻辑技术>实验 参考教材 <电子技术基础实验–分析.调试.综合设计> 王传新主编,高等教育出版社 实验要求及注意事项 1.实验前必须预习实验内容,做到思路清晰,实验任务明确. 2.实验时认真阅读实验指导书,按电路原理图正确连接实验导线,仔细检查无误,方可通电实验. 3. ...

  • 电力电子技术课程设计题目
  • 设计任务书1 舞台灯光控制电路的设计与分析√ 一. 设计任务 设计一个舞台灯光控制系统,通过给定电位器可以实现灯光亮度的连续可调.灯泡为白炽灯,可视为纯电阻性负载,灯光亮度与灯泡两端电压(交流有效值或直流平均值)的平方成正比. 二. 设计条件与指标 1. 单相交流电源,额定电压220V: 2. 灯泡 ...

  • 电路CAA课程设计
  • 课程设计任务书 学生姓名: 专业班级: 指导教师: 工作单位: 信息工程学院 题 目: 电路CAA课程设计 ━━基于PSpice的一阶电路的暂态分析 ━━基于PSpice的RLC串联电路的谐振分析 初始条件: 1. 提供实验室机房及其PSpice软件: 2. 选一阶RC和RL电路. 3. 选RLC串 ...

  • 高频电子线路课程设计实验报告
  • 2FSK调制解调电路的设计 摘 要 数字频率调制是数据通信中使用较早的一种通信方式.由于这种调制解调方式容易实现,抗噪声和抗衰减性能较强,因此在中低速数据传输通信系统中得到了较为广泛的应用. 数字调频又可称作移频键控FSK,它是利用载频频率变化来传递数字信息. 本次高频电子线路课程设计以2FSK信号 ...

  • 中国集成电路行业未来规划及投资发展前景预测报告2016-2021年
  • 中国集成电路行业未来规划及投资发展前景预测报告2016-2021年 编制单位:北京智博睿投资咨询有限公司 [报告目录]: 第一章 集成电路基本情况 1.1 集成电路的相关介绍 1.1.1 集成电路定义 1.1.2 集成电路的分类 1.2 模拟集成电路 1.2.1 模拟集成电路的概念 1.2.2 模拟 ...

  • 电子电路设计规范与元器件选型培训
  • 电子电路设计规范与元器件选型培训 课程目的: 电子类产品制造企业的日常研发管理中,资深技术人员的缺乏.年轻工程师设计经验的欠缺是个共同的难题,年轻工程师大都集中于产品功能的实现,醉心于新工具新器件新方法的应用,却没深入研究电子器件的深层次指标.未能将影响产品性能质量的器件选型.器件应用降额.容错性. ...

  • [串联电路故障分析]教学设计
  • <串联电路故障分析>教学设计 一.教材分析 电学是中学物理教学的重点内容,电路的故障分析又是电学中的重难点.因此"电路故障分析"也成上海中考的热点,其目的在于考查学生利用电学基本原理分析和解决实际问题的能力.近十年的中考题目中,多数是考察串联电路短路或断路故障.串联电 ...

  • 电子电路EDA实训报告
  • 电子电路EDA实训报告 电气与控制工程 自动化1305 雷心宇 1306050506 一.课程设计的目的及任务 EDA课程设计是工科院校电类专业学生进行的一次较全面的设计能力训练实践课程.通过本课程设计重点掌握一种EDA 软件--Multisim软件在模拟电路和数字电路的设计和仿真应用,训练学生综合 ...

  • 简单的电容测量仪设计
  • 电子技术课程设计 评分标准 电子技术课程设计 任务书 设计题目: 电容测量仪 学生姓名: 学号: 专业班级: 09自动化 一.设计条件 1.可选元件 (1)双运放芯片(),二极晶体管: (2)电阻.电容.电位器等: (3)引脚插座,排针. 2.可用仪器 万用表,示波器,直流稳压电源. 二.设计任务及 ...