EDA四人抢答器实验设计报告

EDA 实习—抢答器设计报告

课题名称:EDA 实习——抢答器 专业班级:2011 级电子班 姓 名:侯凤萍 陈亮

指导老师: 贺涛

2012 年 12 月 21 日

-1-

目录

一:EDA 抢答器设计的目的及意义………………3 二:设计整体思路……………………………….3 2.1 设计要求………………………………….3 三:单元模块设计部分

3.1 概述………………………………………………......4

3.2 3.3 3.4 3.5 3.6 3.7

鉴别模块的设计………………………………………………4 计数模块的设计………………………………………………6 报警模块的设计………………………………………………7 译码模块的设计………………………………………………8 分频模块的设计………………………………………………9 顶层文件……………………………………………………….10

-2-

四:锁定引脚及下载……………………………………11

一:EDA 抢答器设计的目的及意义

抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路, 竞赛者可以分为若干组, 抢答时各组对主持人提出的问题要在最短的时间内做出 判断,并按下抢的逻辑功能,该电路应包括抢答器鉴别模块、抢答器计数模块、 报警模块、译码模块、分频模块。答按键回答问题。当第一个人按下按键后,则 在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其 不起作用。若抢答时间内无人抢答,则报警灯亮。回答完问题后,由主持人将所 有按键恢复,重新开始下一轮抢答。因此要完成抢答器 二:设计整体思路 (1) 设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2) 具有第一抢答信号的鉴别和锁存功能 (3) 具有计时功能 (4) 具有计分功能 (5) 具有报警功能

-3-

2.1 设计要求

1. 抢答器同时供 4 名选手或 4 个代表队比赛,分别用 4 个按钮 S0~ S3 表 示。 2. 设置一个系统清除和抢答控制开关 rst,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在 LED 和数码管上显示,同时提示灯亮。选手抢答实行优先锁存,优先抢答选手 的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为 20 秒。 5. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答, 定时显示器上显示 20。

三:单元模块设计部分

1、概述 将该任务分成五个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、 报警模块、分频模块、译码模块 2、鉴别模块的设计: 在这个模块中主要实现抢答过程中的抢答功

能,并能对超前抢答进行警告, 还能记录无论是正常抢答还是朝前抢答者的台号, 并且能实现当有一路抢答按键 按下时,该路抢答信号将其余的抢答信号封锁的功能。其中有四个抢答信号 s0、 s1、s2、s3;抢答状态显示信号 states;抢答与警报时钟信号 clk0;系统复位信 号 rst;警报信号 warm。

1.VHDL 源程序

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jianbie is port(rst,clk2:in std_logic; s0,s1,s2,s3:in std_logic; states:buffer std_logic_vector(3 downto 0); light:buffer std_logic_vector(3 downto 0); warm:out std_logic); end jianbie ; architecture one of jianbie begin p1:process(s0,rst,s1,s2,s3,clk2) begin if rst='0' then warm

1. VHDL 源程序

library ieee; use ieee.std_logic_1164.all; entity baojing is port(rst:in std_logic; warn:in std_logic; clk:in std_logic; ta,tb:in integer range 0 to 9; stop:in std_logic; alm:out std_logic ); end; architecture bhv of baojing is begin process(warn,ta,tb,stop,clk) begin if rst='0'then alm

-7-

elsif warn='1'then alm

2.报警模块仿真图

3.报警模块元件图

-8-

3.5 译码器模块设计

在这个模块中主要实现抢答过程中将 BCD 码转换成 7 段的功能。

1. VHDL 源程序

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY yima IS PORT(bcd:IN STD_LOGIC_VECTOR(3 DOWNTO 0); dout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END yima ; ARCHITECTURE rtl OF yima IS BEGIN PROCESS(bcd) BEGIN

CASE bcd IS WHEN

EDA 实习—抢答器设计报告

课题名称:EDA 实习——抢答器 专业班级:2011 级电子班 姓 名:侯凤萍 陈亮

指导老师: 贺涛

2012 年 12 月 21 日

-1-

目录

一:EDA 抢答器设计的目的及意义………………3 二:设计整体思路……………………………….3 2.1 设计要求………………………………….3 三:单元模块设计部分

3.1 概述………………………………………………......4

3.2 3.3 3.4 3.5 3.6 3.7

鉴别模块的设计………………………………………………4 计数模块的设计………………………………………………6 报警模块的设计………………………………………………7 译码模块的设计………………………………………………8 分频模块的设计………………………………………………9 顶层文件……………………………………………………….10

-2-

四:锁定引脚及下载……………………………………11

一:EDA 抢答器设计的目的及意义

抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路, 竞赛者可以分为若干组, 抢答时各组对主持人提出的问题要在最短的时间内做出 判断,并按下抢的逻辑功能,该电路应包括抢答器鉴别模块、抢答器计数模块、 报警模块、译码模块、分频模块。答按键回答问题。当第一个人按下按键后,则 在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其 不起作用。若抢答时间内无人抢答,则报警灯亮。回答完问题后,由主持人将所 有按键恢复,重新开始下一轮抢答。因此要完成抢答器 二:设计整体思路 (1) 设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2) 具有第一抢答信号的鉴别和锁存功能 (3) 具有计时功能 (4) 具有计分功能 (5) 具有报警功能

-3-

2.1 设计要求

1. 抢答器同时供 4 名选手或 4 个代表队比赛,分别用 4 个按钮 S0~ S3 表 示。 2. 设置一个系统清除和抢答控制开关 rst,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在 LED 和数码管上显示,同时提示灯亮。选手抢答实行优先锁存,优先抢答选手 的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为 20 秒。 5. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答, 定时显示器上显示 20。

三:单元模块设计部分

1、概述 将该任务分成五个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、 报警模块、分频模块、译码模块 2、鉴别模块的设计: 在这个模块中主要实现抢答过程中的抢答功

能,并能对超前抢答进行警告, 还能记录无论是正常抢答还是朝前抢答者的台号, 并且能实现当有一路抢答按键 按下时,该路抢答信号将其余的抢答信号封锁的功能。其中有四个抢答信号 s0、 s1、s2、s3;抢答状态显示信号 states;抢答与警报时钟信号 clk0;系统复位信 号 rst;警报信号 warm。

1.VHDL 源程序

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jianbie is port(rst,clk2:in std_logic; s0,s1,s2,s3:in std_logic; states:buffer std_logic_vector(3 downto 0); light:buffer std_logic_vector(3 downto 0); warm:out std_logic); end jianbie ; architecture one of jianbie begin p1:process(s0,rst,s1,s2,s3,clk2) begin if rst='0' then warm

1. VHDL 源程序

library ieee; use ieee.std_logic_1164.all; entity baojing is port(rst:in std_logic; warn:in std_logic; clk:in std_logic; ta,tb:in integer range 0 to 9; stop:in std_logic; alm:out std_logic ); end; architecture bhv of baojing is begin process(warn,ta,tb,stop,clk) begin if rst='0'then alm

-7-

elsif warn='1'then alm

2.报警模块仿真图

3.报警模块元件图

-8-

3.5 译码器模块设计

在这个模块中主要实现抢答过程中将 BCD 码转换成 7 段的功能。

1. VHDL 源程序

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY yima IS PORT(bcd:IN STD_LOGIC_VECTOR(3 DOWNTO 0); dout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END yima ; ARCHITECTURE rtl OF yima IS BEGIN PROCESS(bcd) BEGIN

CASE bcd IS WHEN


相关内容

  • 电子电路EDA实训报告
  • 电子电路EDA实训报告 电气与控制工程 自动化1305 雷心宇 1306050506 一.课程设计的目的及任务 EDA课程设计是工科院校电类专业学生进行的一次较全面的设计能力训练实践课程.通过本课程设计重点掌握一种EDA 软件--Multisim软件在模拟电路和数字电路的设计和仿真应用,训练学生综合 ...

  • 六人抢答器设计报告
  • 湖南人文科技学院 课程设计报告 课程名称: 设计题目: 六人抢答器 系 别: 通信与控制工程系 专 业: 电子信息工程 班 级: 电子信息二班 学生姓名: 鄢卫 张幸 学 号: 08409228 08409226 起止日期: 2011年06月14日~2011年06月26日 指导教师: 姚 毅 教研室 ...

  • 数电课设四路抢答器的设计
  • 4路抢答器的设计 绪论: 随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人们在物质文明得到满足的同时更注重精神文明的追求,各种节目不断涌现,提高节目的质量尤为重要.本次设计是针对活动中用到的抢答器而设计的.抢答器是为 ...

  • 智力抢答器的设计
  • 一:摘要 抢答器是在智力竞赛中为了答题时方便进行抢答而设计的一种优先判决器电路,本次的课程设计要求是可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用.电路具有第一抢答信号的鉴别和锁存功能.在主持人将系统复位并发出抢答指令后,若参加者按抢答开关,则该组指示灯亮并用组别显示电路显示抢答 ...

  • VHDL四人抢答器实验报告
  • 题目:硬件描述语言实验十三:四人抢答器 姓名:*** 学号: *** 地点: 主楼402 时间: 5月9日 一.实验目的: 进一步练习VHDL语言设计工程的建立与仿真的步骤和方法.熟悉VHDL语言基本设计实体的编写方法. 二.实验环境: PC个人计算机.Windows XP操作系统.Quartus ...

  • 基于VHDL语言的四位抢答器
  • 四位VHDL 抢答器 一.设计理念: 1.1 需要设计的是四名选手的抢答过程所用到的抢答器,分别用S0-S3四个按钮表示. 1.2 设置一个由主持人控制的"复位"开关S ,按下此开关后以前的状态复位并且重新开始计时抢答. 1.3 抢答器具有锁存与显示功能.选手按动自己相应的抢答按 ...

  • 三人抢答器模拟实验报告
  • 三人抢答器模拟实验报告 一. 目的与要求 1. 目的 (1) 培养学生综合利用MCS--51单片机的软硬件知识进行程序设计的能力,解决一定实际问题. (2) 进一步加深对MCS--51单片机的内部结构和程序设计方法的理解. (3) 提高学生建立程序文档,归纳总结的能力. 2. 基本要求 (1) 要求 ...

  • 四人抢答器
  • 一.设计内容及要求 1.设计内容 设计一台供4名选手参加比赛的数字抢答器 2.设计要求 (1).抢答器同时供4名选手比赛,分别用4个按钮S0 - S3表示. (2).设置一个系统清除和抢答控制开关S ,该开关由主持人控制. (3). 抢答器具有锁存与显示功能.即选手按动按钮,锁存相应的编号,并在LE ...

  • 正弦电压有效值测量电路设计
  • 摘 要 当今世界在以电子信息技术为前提下推动了社会跨跃式的进步,科学技术的飞速发 展日新月异带动了各国生产力的大规模提高.由此可见科技已成为各国竞争的核心,尤 其是电子信息技术更显得尤为重要,在国民生产各部门电子信息技术得到了广泛的应 用. <电子技术基础>是电子.自动控制.通信与信息最 ...