微电子专业术语

Abrupt junction 突变结

Accelerated testing 加速实验

Acceptor 受主

Acceptor atom 受主原子

Accumulation 积累、堆积

Accumulating contact 积累接触

Accumulation region 积累区

Accumulation layer 积累层

Active region 有源区

Active component 有源元

Active device 有源器件

Activation 激活

Activation energy 激活能

Active region 有源(放大)区

Admittance 导纳

Allowed band 允带

Alloy junction device 合金结器件

Aluminum(Aluminium) 铝

Aluminum oxide 铝氧化物

Aluminum passivation 铝钝化

Ambipolar 双极的

Ambient temperature 环境温度

Amorphous 无定形的,非晶体的

Amplifier 功放、扩音器、放大器

Analogue comparator 模拟比较器

Analog comparator 模拟比较器

Angstrom 埃

Anneal 退火

Anisotropic 各向异性的

Anode 阳极

Arsenic 砷

AS 砷

Auger 俄歇

Auger process 俄歇过程

Avalanche 雪崩

Avalanche breakdown 雪崩击穿

Avalanche excitation 雪崩激发

Background carrier 本底载流子

Background doping 本底掺杂

Backward 反向

Backward bias 反向偏置

Ballasting resistor 整流电阻

Ball bond 球形键合

Band 能带

Band gap 能带间隙

Barrier 势垒

Barrier layer 势垒层

Barrier width 势垒宽度

Base 基极

Base contact 基区接触

Base stretching 基区扩展效应

Base transit time 基区渡越时间

Base transport efficiency 基区输运系数

Base width modulation 基区宽度调制

Basis vector 基矢

Bias 偏置

Bilateral switch 双向开关

Binary code 二进制代码

Binary compound semiconductor 二元化合物半导体

Bipolar 双极性的

Bipolar Junction Transistor(BJT) 双极晶体管

BJT 双极晶体管

Bloch 布洛赫

Blocking band 阻挡能带

Blocking contact 阻挡接触

Body centered 体心立方

Body centred cubic structure 体立心结构

Boltzmann 波尔兹曼

Bond 键、键合

Bonding electron 价电子

Bonding pad 键合点

Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器

Boron 硼

Borosilicate glass 硼硅玻璃

Boundary condition 边界条件

Bound electron 束缚电子

Breadboard 模拟板、实验板

Break down 击穿

Break over 转折

Brillouin 布里渊

Brillouin zone 布里渊区

Built in 内建的

Build in electric field 内建电场

Bulk 体/体内

Bulk absorption 体吸收

Bulk

generation 体产生

Bulk recombination 体复合

Burn in 老化

Burn out 烧毁

Buried channel 埋沟

Buried diffusion region 隐埋扩散区

Can 外壳

Capacitance 电容

Capture cross section 俘获截面

Capture carrier 俘获载流子

Carrier 载流子、载波

Carry bit 进位位

Carry in bit 进位输入

Carry out bit 进位输出

Cascade 级联

Case 管壳

Cathode 阴极

Center 中心

Ceramic 陶瓷(的)

Channel 沟道

Channel breakdown 沟道击穿

Channel current 沟道电流

Channel doping 沟道掺杂

Channel shortening 沟道缩短

Channel width 沟道宽度

Characteristic impedance 特征阻抗

Charge 电荷、充电

Charge compensation effects 电荷补偿效应

Charge conservation 电荷守恒

Charge neutrality condition 电中性条件

Charge drive 电荷驱动

Charge exchange 电荷交换

Charge sharing 电荷共享

Charge transfer 电荷转移

Charge storage 电荷存储

Chemmical etching 化学腐蚀法

Chemically Polish 化学抛光

Chemmically Mechanically Polish (CMP) 化学机械抛光

CMP 化学机械抛光

Chip 芯片

Chip yield 芯片成品率

Clamped 箝位

Clamping diode 箝位二极管

Cleavage plane 解理面

Clock rate 时钟频率

Clock generator 时钟发生器

Clock flip flop 时钟触发器

Close packed structure 密堆积结构

Close loop gain 闭环增益

Collector 集电极

Collision 碰撞

Compensated OP AMP 补偿运放

Common base connection 共基极连接

Common collector connection 共集电极连接

Common emitter connection 共发射极连接

Common gate connection 共栅连接

Common drain connection 共漏连接

Common source connection 共源连接

Common mode gain 共模增益

Common mode input 共模输入

Common mode rejection ratio(CMRR) 共模抑制比

CMRR 共模抑制比

Compatibility 兼容性

Compensation 补偿

Compensated impurities 补偿杂质

Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林顿电路

Complementary Metal Oxide Semiconductor Field Effect Transistor(CMOS) 互补金属氧化物半导体场效应晶体管

CMOS 互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer aided design (CAD) 计算机辅助设计

CAD 计算机辅助设计

Computer aided test(CAT) 计算机辅助测试

CAT 计算机辅助测试

Computer aided manufacture(CAM) 计算机辅助制造

CAM 计算机辅助制

Compound Semiconductor 化合物半导体

Conductance 电导

Conduction band 导带

Conduction band edge 导带底

Conduction level 导带态

Conduction state 导带态

Conductor 导体

Conductivity 电导率

Configuration 组态

Conlomb 库仑

Conpled Configuration Devices 结构组态

Constants 物理常数

Constant energy surface 等能面

Constant source diffusion 恒定源扩散

Contact 接触

Contamination 治污

Continuity equation 连续性方程

Contact hole 接触孔

Contact potential 接触电势

Continuity condition 连续性条件

Contra doping 反掺杂

Controlled 受控的

Converter 转换器

Conveyer 传输器

Copper interconnection system 铜互连系统

Couping 耦合

Covalent 共阶的

Crossover 跨交

Critical 临界的

Crossunder 穿交

Crucible 坩埚

Crystal defect 晶体缺陷

Crystal face 晶面

Crystal orientation 晶向

Crystal lattice 晶格

Current density 电流密度

Curvature 曲率

Cut off 截止

Current drift 电流漂移

Current dirve 电流驱动

Current sharing 电流共享

Current Sense 电流取样

Curvature 弯曲

Custom integrated circuit 定制集成电路

Cylindrical 柱面的

Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

Dangling bonds 悬挂键

Dark current 暗电流

Dead time 空载时间

Debye length 德拜长度

De.broglie 德布洛意

Decderate 减速

Decibel (dB) 分贝

dB 分贝

Decode 译码

Deep acceptor level 深受主能级

Deep donor level 深施主能级

Deep impurity level 深度杂质能级

Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体

Degeneracy 简并度

Degradation 退化

Degree Celsius 摄氏温度

Degree centigrade 摄氏温度

Degree Kelvin 开氏温度

Delay 延迟

Density 密度

Density of states 态密度

Depletion 耗尽

Depletion approximation 耗尽近似

Depletion contact 耗尽接触

Depletion depth 耗尽深度

Depletion effect 耗尽效应

Depletion layer 耗尽层

Depletion MOS 耗尽MOS

Depletion region 耗尽区

Deposited film 淀积薄膜

Deposition process 淀积工艺

Design rules 设计规则

Die 芯片(复数dice)

dice 芯片(复数)

Diode 二极管

Dielectric 介电的

Dielectric isolation 介质隔离

Difference mode input 差模输入

Differential amplifier

差分放大器

Differential capacitance 微分电容

Diffused junction 扩散结

Diffusion 扩散

Diffusion coefficient 扩散系数

Diffusion constant 扩散常数

Diffusivity 扩散率

Diffusion capacitance 扩散电容

Diffusion barrier 扩散势垒

Diffusion current 扩散电流

Diffusion furnace 扩散炉

Digital circuit 数字电路

Dipole domain 偶极畴

Dipole layer 偶极层

Direct coupling 直接耦合

Direct gap semiconductor 直接带隙半导体

Direct transition 直接跃迁

Discharge 放电

Discrete component 分立元件

Dissipation 耗散

Distribution 分布

Distributed capacitance 分布电容

Distributed model 分布模型

Displacement 位移

Dislocation 位错

Domain 畴

Donor 施主

Donor exhaustion 施主耗尽

Dopant 掺杂剂

Doped semiconductor 掺杂半导体

Doping concentration 掺杂浓度

Double diffusive MOS (DMOS) 双扩散MOS.

DMOS 双扩散MOS.

Drift 漂移

Drift field 漂移电场

Drift mobility 迁移率

Dry etching 干法腐蚀

Dry oxidation 干法氧化

wet oxidation 湿法氧化

Dose 剂量

Duty cycle 工作周期

Dual in line package (DIP) 双列直插式封装

DIP 双列直插式封装

Dynamics 动态

Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

Early effect 厄利效应

Early failure 早期失效

Effective mass 有效质量

Einstein relation(ship) 爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

E2PROM 一次性电可擦除只读存储器

Electrode 电极

Electrominggratim 电迁移

Electron affinity 电子亲和势

Electronic grade 电子能

Electron beam photo resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气

Electron grade water 电子级纯水

Electron trapping center 电子俘获中心

Electron Volt (eV) 电子伏

Electrostatic 静电的

Element 元素/元件/配件

Elemental semiconductor 元素半导体

Ellipse 椭圆

Ellipsoid 椭球

Emitter 发射极

Emitter coupled logic 发射极耦合逻辑

Emitter coupled pair 发射极耦合对

Emitter follower 射随器

Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test 寿命测试

life test 寿命测试

Energy state 能态

Energy momentum diagram 能量 动量(E K)图

Enhancement mode 增强型模式

Enhancement MOS 增强性

MOS Entefic (低)共溶的

Environmental test

环境测试

Epitaxial 外延的

Epitaxial layer 外延层

Epitaxial slice 外延片

Expitaxy 外延

Equivalent curcuit 等效电路

Equilibrium majority carriers 平衡多数载流子

Equilibrium minority carriers 平衡少数载流子

Erasable Programmable ROM(EPROM) 可搽取(编程)存储器

EPROM 可搽取(编程)存储器

Error function complement 余误差函数

Etch 刻蚀

Etchant 刻蚀剂

Etching mask 抗蚀剂掩模

Excess carrier 过剩载流子

Excitation energy 激发能

Excited state 激发态

Exciton 激子

Extrapolation 外推法

Extrinsic 非本征的

Extrinsic semiconductor 杂质半导体

Face centered 面心立方

Fall time 下降时间

Fan in 扇入

Fan out 扇出

Fast recovery 快恢复

Fast surface states 快界面态

Feedback 反馈

Fermi level 费米能级

Fermi Dirac Distribution 费米 狄拉克分布

Femi potential 费米势

Fick equation 菲克方程(扩散)

Field effect transistor 场效应晶体管

Field oxide 场氧化层

Filled band 满带

Film 薄膜

Flash memory 闪烁存储器

Flat band 平带

Flat pack 扁平封装

Flicker noise 闪烁(变)噪声

Flip flop toggle 触发器翻转

Floating gate 浮栅

Fluoride etch 氟化氢刻蚀

Forbidden band 禁带

Forward bias 正向偏置

Forward blocking 正向阻断

Forward conducting 正向导通

Frequency deviation noise 频率漂移噪声

Frequency response 频率响应

Function 函数

Gain 增益

Gallium Arsenide(GaAs) 砷化钾

GaAs 砷化钾

Gamy ray r射线

Gate 门、栅、控制极

Gate oxide 栅氧化层

Gauss(ian) 高斯

Gaussian distribution profile 高斯掺杂分布

Generation recombination 产生 复合

Geometries 几何尺寸

Germanium(Ge) 锗

Graded 缓变的

Graded channel 缓变沟道

Graded gradual channel 缓变沟道

Graded junction 缓变结

Grain 晶粒

Gradient 梯度

Grown junction 生长结

Guard ring 保护环

Gummel Poom model 葛谋 潘 模型

Gunn effect 狄氏效应

Hardened device 辐射加固器件

Heat of formation 形成热

Heat sink 散热器、热沉

Heavy hole band 重空穴带

light hole band 轻空穴带

Heavy saturation 重掺杂

Hell effect 霍尔效应

Heterojunction 异质结

Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT) 异质结双极型晶体

HBT 异质结双极型晶体

High field property 高场特性

High performance (H MOS) 高性能MOS.

MOS. Hormalized 归一化

Horizontal epitaxial reactor 卧式外延反应器

Hot carrior 热载流子

Hybrid integration 混合集成

Image force 镜象力

Impact ionization 碰撞电离

Impedance 阻抗

Imperfect structure 不完整结构

Implantation dose 注入剂量

Implanted ion 注入离子

Impurity 杂质

Impurity scattering 杂志散射

Incremental resistance 电阻增量(微分电阻)

In contact mask 接触式掩模

Indium tin oxide(ITO) 铟锡氧化物

ITO 铟锡氧化物

Induced channel 感应沟道

Infrared 红外的

Injection 注入

Input offset voltage 输入失调电压

Insulator 绝缘体

Insulated Gate FET(IGFET) 绝缘栅

IGFET 绝缘栅

FET Integrated injection logic 集成注入逻辑

Integration 集成、积分

Interconnection 互连

Interconnection time delay 互连延时

Interdigitated structure 交互式结构

Interface 界面

Interference 干涉

International system of union 国际单位制

Internally scattering 谷间散射

Interpolation 内插法

Intrinsic 本征的

Intrinsic semiconductor 本征半导体

Inverse operation 反向工作

Inversion 反型

Inverter 倒相器

Ion 离子

Ion beam 离子束

Ion etching 离子刻蚀

Ion implantation 离子注入

Ionization 电离

Ionization energy 电离能

Irradiation 辐照

Isolation land 隔离岛

Isotropic 各向同性

Junction FET(JFET) 结型场效应管

JFET 结型场效应管

Junction isolation 结隔离

Junction spacing 结间距

Junction side wall 结侧壁

Latch up 闭锁

Lateral 横向的

Lattice 晶格

Layout 版图

Lattice binding 晶格结合力

Lattice cell 晶胞

Lattice constant 晶格常数

Lattice defect 晶格缺陷

Lattice distortion 晶格畸变

Leakage current (泄)漏电流

Level shifting 电平移动

Life time 寿命

linearity 线性度

Linked bond 共价键

Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻

Light Emitting Diode(LED) 发光二极管

LED 发光二极管

Load line or Variable 负载线

Locating and Wiring 布局布线

Longitudinal 纵向的

Logic swing 逻辑摆幅

Lorentz 洛沦兹

Lumped model 集总模型

Majority carrier 多数载流子

Mask 掩膜板,光刻板

Mask level 掩模序号

Mask set 掩模组

Mass action law 质量守恒定律

Master slave D flip flop

主从D触发器

Matching 匹配

Maxwell 麦克斯韦

Mean free path 平均自由程

Meandered emitter junction 梳状发射极结

Mean time before failure(MTBF) 平均工作时间

MTBF 平均工作时间

Megeto resistance 磁阻

Mesa 台面

MESFET Metal Semiconductor 金属半导体FET

Metallization 金属化

Microel ectronic technique 微电子技术

Microel ectronics 微电子学

Millen indices 密勒指数

Minority carrier 少数载流子

Misfit 失配

Mismatching 失配

Mobile ions 可动离子

Mobility 迁移率

Module 模块

Modulate 调制

Molecular crystal 分子晶体

Monolithic IC 单片IC.MOSFET金属氧化物半导体场效应晶体管

Mos. Transistor(MOST) MOS.晶体管

MOST MOS.晶体管

Multiplication 倍增

Modulator 调制

Multi chip IC 多芯片IC

Multi chip module(MCM) 多芯片模块

Multiplication coefficient 倍增因子

Naked chip 未封装的芯片(裸片)

Negative feedback 负反馈

Negative resistance 负阻

Nesting 套刻

Negative temperature coefficient 负温度系数

Noise margin 噪声容限

Nonequilibrium 非平衡

Nonrolatile 非挥发(易失)性

Normally off 常闭

Normally on 常开

Numerical analysis 数值分析

Occupied band 满带

Officienay 功率

Offset 偏移、失调

On standby 待命状态

Ohmic contact 欧姆接触

Open circuit 开路

Operating point 工作点

Operating bias 工作偏置

Operational amplifier (OPAMP) 运算放大器

OPAMP 运算放大器

Optical photon 光子

photon 光子

Optical quenching 光猝灭

Optical transition 光跃迁

Optical coupled isolator 光耦合隔离器

Organic semiconductor 有机半导体

Orientation 晶向、定向

Outline 外形

Out of contact mask 非接触式掩模

Output characteristic 输出特性

Output voltage swing 输出电压摆幅

Overcompensation 过补偿

Over current protection 过流保护

Over shoot 过冲

Over voltage protection 过压保护

Overlap 交迭

Overload 过载

Oscillator 振荡器

Oxide 氧化物

Oxidation 氧化

Oxide passivation 氧化层钝化

Package 封装

Pad 压焊点

Parameter 参数

Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡

Passination 钝化

Passive component 无源元件

Passive device 无源器件

Passive surface 钝化界面

Parasitic transistor 寄生晶体管

Peak point voltage 峰点电压

Peak voltage 峰值

电压

Permanent storage circuit 永久存储电路

Period 周期

Periodic table 周期表

Permeable base 可渗透基区

Phase lock loop 锁相环

Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导

Photo diode 光电二极管

Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件

Photolithographic process 光刻工艺

resist 抗腐蚀剂

photo resist 光敏抗腐蚀剂

Pin 管脚

Pinch off 夹断

Pinning of Fermi level 费米能级的钉扎(效应)

Planar process 平面工艺

Planar transistor 平面晶体管

Plasma 等离子体

Plezoelectric effect 压电效应

Poisson equation 泊松方程

Point contact 点接触

Polarity 极性

Polycrystal 多晶

Polymer semiconductor 聚合物半导体

Poly silicon 多晶硅

Potential (电)势

Potential barrier 势垒

Potential well 势阱

Power dissipation 功耗

Power transistor 功率晶体管

Preamplifier 前置放大器

Primary flat 主平面

Principal axes 主轴

Print circuit board(PCB) 印制电路板

PCB 印制电路板

Probability 几率

Probe 探针

Process 工艺

Propagation delay 传输延时

Pseudopotential method 膺势发

Punch through 穿通

Pulse triggering 脉冲触发Pulse

Pulse modulating 脉冲调制Pulse

Widen Modulator(PWM) 脉冲宽度调制

PWM 脉冲宽度调制

Punchthrough 穿通

Push pull stage 推挽级

Quality factor 品质因子

Quantization 量子化

Quantum 量子

Quantum efficiency 量子效应

Quantum mechanics 量子力学

Quasi Fermi-level 准费米能级

Quartz 石英

Radiation conductivity 辐射电导率

Radiation damage 辐射损伤

Radiation flux density 辐射通量密度

Radiation hardening 辐射加固

Radiation protection 辐射保护

Radiative recombination 辐照复合

Radioactive 放射性

Reach through 穿通

Reactive sputtering source 反应溅射源

Read diode 里德二极管

Recombination 复合

Recovery diode 恢复二极管

Reciprocal lattice 倒核子

Recovery time 恢复时间

Rectifier 整流器(管)

Rectifying contact 整流接触

Reference 基准、基准点 参考点

Refractive index 折射率

Register 寄存器

Registration 对准

Regulate 控制、调整

Relaxation lifetime 驰豫时间

Reliability 可靠性

Resonance 谐振

Resistance 电阻

Resistor 电阻器

Resistivity 电阻率

Re

gulator 稳压管(器)

Relaxation 驰豫

Resonant frequency 共射频率

Response time 响应时间

Reverse 反向的

Reverse bias 反向偏置

Sampling circuit 取样电路

Sapphire 蓝宝石(Al2O3)

Satellite valley 卫星谷

Saturated current range 电流饱和区

Saturation region 饱和区

Saturation 饱和的

Scaled down 按比例缩小

Scattering 散射

Schockley diode 肖克莱二极管

Schottky 肖特基

Schottky barrier 肖特基势垒

Schottky contact 肖特基接触

Schrodingen 薛定厄

Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶

Segregation 分凝

Selectivity 选择性

Self aligned 自对准的

Self diffusion 自扩散

Semiconductor 半导体

Semiconductor controlled rectifier 可控硅

Sendsitivity 灵敏度

Serial 串行/串联

Series inductance 串联电感

Settle time 建立时间

Sheet resistance 薄层电阻

Shield 屏蔽

Short circuit 短路

Shot noise 散粒噪声

Shunt 分流

Sidewall capacitance 边墙电容

Signal 信号

Silica glass 石英玻璃

Silicon 硅

Silicon carbide 碳化硅

Silicon dioxide (SiO2) 二氧化硅

Silicon Nitride(Si3N4) 氮化硅

Silicon On Insulator 绝缘硅

Siliver whiskers 银须

Simple cubic 简立方

Single crystal 单晶

Sink 沉

Skin effect 趋肤效应

Snap time 急变时间

Sneak path 潜行通路

Sulethreshold 亚阈的

Solar battery/cell 太阳能电池

Solid circuit 固体电路

Solid Solubility 固溶度

Sonband 子带

Source 源极

Source follower 源随器

Space charge 空间电荷

Specific heat(PT) 热

Speed power product 速度功耗乘积

Spherical 球面的

Spin 自旋

Split 分裂

Spontaneous emission 自发发射

Spreading resistance 扩展电阻

Sputter 溅射

Stacking fault 层错

Static characteristic 静态特性

Stimulated emission 受激发射

Stimulated recombination 受激复合

Storage time 存储时间

Stress 应力

Straggle 偏差

Sublimation 升华

Substrate 衬底

Substitutional 替位式的

Superlattice 超晶格

Supply 电源

Surface 表面

Surge capacity 浪涌能力

Subscript 下标

Switching time 开关时间

Switch 开关

Tailing 扩展

Terminal 终端

Tensor 张量

Tensorial 张量的

Thermal activation 热激发

Thermal conductivity 热导率

Thermal equilibrium 热平衡

Thermal Oxidation 热氧化

Thermal resistance 热阻

Thermal sink 热沉

Thermal velocity 热运动

Thermoel ectricpovoer 温差电动势率

Thick film technique 厚膜技术

Thin film hybrid IC薄膜混合集成电路

Thin Film Transistor(TFT) 薄膜晶体

Threshlod 阈值

Thyistor 晶闸管

Transconductance 跨导

Transfer characteristic 转移特性

Transfer electron 转移电子

Transfer function 传输函数

Transient 瞬态的

Transistor aging(stress) 晶体管老化

Transit time 渡越时间

Transition 跃迁

Transition metal silica 过度金属硅化物

Transition probability 跃迁几率

Transition region 过渡区

Transport 输运

Transverse 横向的

Trap 陷阱

Trapping 俘获

Trapped charge 陷阱电荷

Triangle generator 三角波发生器

Triboelectricity 摩擦电

Trigger 触发

Trim 调配、调整

Triple diffusion 三重扩散

Truth table 真值表

Tolerahce 容差

Tunnel 隧道

Tunneling 隧穿

Tunnel current 隧道电流

Turn over 转折

Turn off time 关断时间

Ultraviolet 紫外的

Unijunction 单结的

Unipolar 单极的

Unit cell 原(元)胞

Unity gain frequency 单位增益频率

Unilateral switch 单向开关

Vacancy 空位

Vacuum 真空

Valence(value) band 价带

Value band edge 价带顶

Valence bond 价键

Vapour phase 汽相

Varactor 变容管

Varistor 变阻器

Vibration 振动

Voltage 电压

Wafer 晶片

Wave equation 波动方程

Wave guide 波导

Wave number 波数

Wave particle duality 波粒二相性

Wear out 烧毁

Wire routing 布线

Work function 功函数

Worst case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

Zone melting 区熔法

Abrupt junction 突变结

Accelerated testing 加速实验

Acceptor 受主

Acceptor atom 受主原子

Accumulation 积累、堆积

Accumulating contact 积累接触

Accumulation region 积累区

Accumulation layer 积累层

Active region 有源区

Active component 有源元

Active device 有源器件

Activation 激活

Activation energy 激活能

Active region 有源(放大)区

Admittance 导纳

Allowed band 允带

Alloy junction device 合金结器件

Aluminum(Aluminium) 铝

Aluminum oxide 铝氧化物

Aluminum passivation 铝钝化

Ambipolar 双极的

Ambient temperature 环境温度

Amorphous 无定形的,非晶体的

Amplifier 功放、扩音器、放大器

Analogue comparator 模拟比较器

Analog comparator 模拟比较器

Angstrom 埃

Anneal 退火

Anisotropic 各向异性的

Anode 阳极

Arsenic 砷

AS 砷

Auger 俄歇

Auger process 俄歇过程

Avalanche 雪崩

Avalanche breakdown 雪崩击穿

Avalanche excitation 雪崩激发

Background carrier 本底载流子

Background doping 本底掺杂

Backward 反向

Backward bias 反向偏置

Ballasting resistor 整流电阻

Ball bond 球形键合

Band 能带

Band gap 能带间隙

Barrier 势垒

Barrier layer 势垒层

Barrier width 势垒宽度

Base 基极

Base contact 基区接触

Base stretching 基区扩展效应

Base transit time 基区渡越时间

Base transport efficiency 基区输运系数

Base width modulation 基区宽度调制

Basis vector 基矢

Bias 偏置

Bilateral switch 双向开关

Binary code 二进制代码

Binary compound semiconductor 二元化合物半导体

Bipolar 双极性的

Bipolar Junction Transistor(BJT) 双极晶体管

BJT 双极晶体管

Bloch 布洛赫

Blocking band 阻挡能带

Blocking contact 阻挡接触

Body centered 体心立方

Body centred cubic structure 体立心结构

Boltzmann 波尔兹曼

Bond 键、键合

Bonding electron 价电子

Bonding pad 键合点

Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器

Boron 硼

Borosilicate glass 硼硅玻璃

Boundary condition 边界条件

Bound electron 束缚电子

Breadboard 模拟板、实验板

Break down 击穿

Break over 转折

Brillouin 布里渊

Brillouin zone 布里渊区

Built in 内建的

Build in electric field 内建电场

Bulk 体/体内

Bulk absorption 体吸收

Bulk

generation 体产生

Bulk recombination 体复合

Burn in 老化

Burn out 烧毁

Buried channel 埋沟

Buried diffusion region 隐埋扩散区

Can 外壳

Capacitance 电容

Capture cross section 俘获截面

Capture carrier 俘获载流子

Carrier 载流子、载波

Carry bit 进位位

Carry in bit 进位输入

Carry out bit 进位输出

Cascade 级联

Case 管壳

Cathode 阴极

Center 中心

Ceramic 陶瓷(的)

Channel 沟道

Channel breakdown 沟道击穿

Channel current 沟道电流

Channel doping 沟道掺杂

Channel shortening 沟道缩短

Channel width 沟道宽度

Characteristic impedance 特征阻抗

Charge 电荷、充电

Charge compensation effects 电荷补偿效应

Charge conservation 电荷守恒

Charge neutrality condition 电中性条件

Charge drive 电荷驱动

Charge exchange 电荷交换

Charge sharing 电荷共享

Charge transfer 电荷转移

Charge storage 电荷存储

Chemmical etching 化学腐蚀法

Chemically Polish 化学抛光

Chemmically Mechanically Polish (CMP) 化学机械抛光

CMP 化学机械抛光

Chip 芯片

Chip yield 芯片成品率

Clamped 箝位

Clamping diode 箝位二极管

Cleavage plane 解理面

Clock rate 时钟频率

Clock generator 时钟发生器

Clock flip flop 时钟触发器

Close packed structure 密堆积结构

Close loop gain 闭环增益

Collector 集电极

Collision 碰撞

Compensated OP AMP 补偿运放

Common base connection 共基极连接

Common collector connection 共集电极连接

Common emitter connection 共发射极连接

Common gate connection 共栅连接

Common drain connection 共漏连接

Common source connection 共源连接

Common mode gain 共模增益

Common mode input 共模输入

Common mode rejection ratio(CMRR) 共模抑制比

CMRR 共模抑制比

Compatibility 兼容性

Compensation 补偿

Compensated impurities 补偿杂质

Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林顿电路

Complementary Metal Oxide Semiconductor Field Effect Transistor(CMOS) 互补金属氧化物半导体场效应晶体管

CMOS 互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer aided design (CAD) 计算机辅助设计

CAD 计算机辅助设计

Computer aided test(CAT) 计算机辅助测试

CAT 计算机辅助测试

Computer aided manufacture(CAM) 计算机辅助制造

CAM 计算机辅助制

Compound Semiconductor 化合物半导体

Conductance 电导

Conduction band 导带

Conduction band edge 导带底

Conduction level 导带态

Conduction state 导带态

Conductor 导体

Conductivity 电导率

Configuration 组态

Conlomb 库仑

Conpled Configuration Devices 结构组态

Constants 物理常数

Constant energy surface 等能面

Constant source diffusion 恒定源扩散

Contact 接触

Contamination 治污

Continuity equation 连续性方程

Contact hole 接触孔

Contact potential 接触电势

Continuity condition 连续性条件

Contra doping 反掺杂

Controlled 受控的

Converter 转换器

Conveyer 传输器

Copper interconnection system 铜互连系统

Couping 耦合

Covalent 共阶的

Crossover 跨交

Critical 临界的

Crossunder 穿交

Crucible 坩埚

Crystal defect 晶体缺陷

Crystal face 晶面

Crystal orientation 晶向

Crystal lattice 晶格

Current density 电流密度

Curvature 曲率

Cut off 截止

Current drift 电流漂移

Current dirve 电流驱动

Current sharing 电流共享

Current Sense 电流取样

Curvature 弯曲

Custom integrated circuit 定制集成电路

Cylindrical 柱面的

Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

Dangling bonds 悬挂键

Dark current 暗电流

Dead time 空载时间

Debye length 德拜长度

De.broglie 德布洛意

Decderate 减速

Decibel (dB) 分贝

dB 分贝

Decode 译码

Deep acceptor level 深受主能级

Deep donor level 深施主能级

Deep impurity level 深度杂质能级

Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体

Degeneracy 简并度

Degradation 退化

Degree Celsius 摄氏温度

Degree centigrade 摄氏温度

Degree Kelvin 开氏温度

Delay 延迟

Density 密度

Density of states 态密度

Depletion 耗尽

Depletion approximation 耗尽近似

Depletion contact 耗尽接触

Depletion depth 耗尽深度

Depletion effect 耗尽效应

Depletion layer 耗尽层

Depletion MOS 耗尽MOS

Depletion region 耗尽区

Deposited film 淀积薄膜

Deposition process 淀积工艺

Design rules 设计规则

Die 芯片(复数dice)

dice 芯片(复数)

Diode 二极管

Dielectric 介电的

Dielectric isolation 介质隔离

Difference mode input 差模输入

Differential amplifier

差分放大器

Differential capacitance 微分电容

Diffused junction 扩散结

Diffusion 扩散

Diffusion coefficient 扩散系数

Diffusion constant 扩散常数

Diffusivity 扩散率

Diffusion capacitance 扩散电容

Diffusion barrier 扩散势垒

Diffusion current 扩散电流

Diffusion furnace 扩散炉

Digital circuit 数字电路

Dipole domain 偶极畴

Dipole layer 偶极层

Direct coupling 直接耦合

Direct gap semiconductor 直接带隙半导体

Direct transition 直接跃迁

Discharge 放电

Discrete component 分立元件

Dissipation 耗散

Distribution 分布

Distributed capacitance 分布电容

Distributed model 分布模型

Displacement 位移

Dislocation 位错

Domain 畴

Donor 施主

Donor exhaustion 施主耗尽

Dopant 掺杂剂

Doped semiconductor 掺杂半导体

Doping concentration 掺杂浓度

Double diffusive MOS (DMOS) 双扩散MOS.

DMOS 双扩散MOS.

Drift 漂移

Drift field 漂移电场

Drift mobility 迁移率

Dry etching 干法腐蚀

Dry oxidation 干法氧化

wet oxidation 湿法氧化

Dose 剂量

Duty cycle 工作周期

Dual in line package (DIP) 双列直插式封装

DIP 双列直插式封装

Dynamics 动态

Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

Early effect 厄利效应

Early failure 早期失效

Effective mass 有效质量

Einstein relation(ship) 爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

E2PROM 一次性电可擦除只读存储器

Electrode 电极

Electrominggratim 电迁移

Electron affinity 电子亲和势

Electronic grade 电子能

Electron beam photo resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气

Electron grade water 电子级纯水

Electron trapping center 电子俘获中心

Electron Volt (eV) 电子伏

Electrostatic 静电的

Element 元素/元件/配件

Elemental semiconductor 元素半导体

Ellipse 椭圆

Ellipsoid 椭球

Emitter 发射极

Emitter coupled logic 发射极耦合逻辑

Emitter coupled pair 发射极耦合对

Emitter follower 射随器

Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test 寿命测试

life test 寿命测试

Energy state 能态

Energy momentum diagram 能量 动量(E K)图

Enhancement mode 增强型模式

Enhancement MOS 增强性

MOS Entefic (低)共溶的

Environmental test

环境测试

Epitaxial 外延的

Epitaxial layer 外延层

Epitaxial slice 外延片

Expitaxy 外延

Equivalent curcuit 等效电路

Equilibrium majority carriers 平衡多数载流子

Equilibrium minority carriers 平衡少数载流子

Erasable Programmable ROM(EPROM) 可搽取(编程)存储器

EPROM 可搽取(编程)存储器

Error function complement 余误差函数

Etch 刻蚀

Etchant 刻蚀剂

Etching mask 抗蚀剂掩模

Excess carrier 过剩载流子

Excitation energy 激发能

Excited state 激发态

Exciton 激子

Extrapolation 外推法

Extrinsic 非本征的

Extrinsic semiconductor 杂质半导体

Face centered 面心立方

Fall time 下降时间

Fan in 扇入

Fan out 扇出

Fast recovery 快恢复

Fast surface states 快界面态

Feedback 反馈

Fermi level 费米能级

Fermi Dirac Distribution 费米 狄拉克分布

Femi potential 费米势

Fick equation 菲克方程(扩散)

Field effect transistor 场效应晶体管

Field oxide 场氧化层

Filled band 满带

Film 薄膜

Flash memory 闪烁存储器

Flat band 平带

Flat pack 扁平封装

Flicker noise 闪烁(变)噪声

Flip flop toggle 触发器翻转

Floating gate 浮栅

Fluoride etch 氟化氢刻蚀

Forbidden band 禁带

Forward bias 正向偏置

Forward blocking 正向阻断

Forward conducting 正向导通

Frequency deviation noise 频率漂移噪声

Frequency response 频率响应

Function 函数

Gain 增益

Gallium Arsenide(GaAs) 砷化钾

GaAs 砷化钾

Gamy ray r射线

Gate 门、栅、控制极

Gate oxide 栅氧化层

Gauss(ian) 高斯

Gaussian distribution profile 高斯掺杂分布

Generation recombination 产生 复合

Geometries 几何尺寸

Germanium(Ge) 锗

Graded 缓变的

Graded channel 缓变沟道

Graded gradual channel 缓变沟道

Graded junction 缓变结

Grain 晶粒

Gradient 梯度

Grown junction 生长结

Guard ring 保护环

Gummel Poom model 葛谋 潘 模型

Gunn effect 狄氏效应

Hardened device 辐射加固器件

Heat of formation 形成热

Heat sink 散热器、热沉

Heavy hole band 重空穴带

light hole band 轻空穴带

Heavy saturation 重掺杂

Hell effect 霍尔效应

Heterojunction 异质结

Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT) 异质结双极型晶体

HBT 异质结双极型晶体

High field property 高场特性

High performance (H MOS) 高性能MOS.

MOS. Hormalized 归一化

Horizontal epitaxial reactor 卧式外延反应器

Hot carrior 热载流子

Hybrid integration 混合集成

Image force 镜象力

Impact ionization 碰撞电离

Impedance 阻抗

Imperfect structure 不完整结构

Implantation dose 注入剂量

Implanted ion 注入离子

Impurity 杂质

Impurity scattering 杂志散射

Incremental resistance 电阻增量(微分电阻)

In contact mask 接触式掩模

Indium tin oxide(ITO) 铟锡氧化物

ITO 铟锡氧化物

Induced channel 感应沟道

Infrared 红外的

Injection 注入

Input offset voltage 输入失调电压

Insulator 绝缘体

Insulated Gate FET(IGFET) 绝缘栅

IGFET 绝缘栅

FET Integrated injection logic 集成注入逻辑

Integration 集成、积分

Interconnection 互连

Interconnection time delay 互连延时

Interdigitated structure 交互式结构

Interface 界面

Interference 干涉

International system of union 国际单位制

Internally scattering 谷间散射

Interpolation 内插法

Intrinsic 本征的

Intrinsic semiconductor 本征半导体

Inverse operation 反向工作

Inversion 反型

Inverter 倒相器

Ion 离子

Ion beam 离子束

Ion etching 离子刻蚀

Ion implantation 离子注入

Ionization 电离

Ionization energy 电离能

Irradiation 辐照

Isolation land 隔离岛

Isotropic 各向同性

Junction FET(JFET) 结型场效应管

JFET 结型场效应管

Junction isolation 结隔离

Junction spacing 结间距

Junction side wall 结侧壁

Latch up 闭锁

Lateral 横向的

Lattice 晶格

Layout 版图

Lattice binding 晶格结合力

Lattice cell 晶胞

Lattice constant 晶格常数

Lattice defect 晶格缺陷

Lattice distortion 晶格畸变

Leakage current (泄)漏电流

Level shifting 电平移动

Life time 寿命

linearity 线性度

Linked bond 共价键

Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻

Light Emitting Diode(LED) 发光二极管

LED 发光二极管

Load line or Variable 负载线

Locating and Wiring 布局布线

Longitudinal 纵向的

Logic swing 逻辑摆幅

Lorentz 洛沦兹

Lumped model 集总模型

Majority carrier 多数载流子

Mask 掩膜板,光刻板

Mask level 掩模序号

Mask set 掩模组

Mass action law 质量守恒定律

Master slave D flip flop

主从D触发器

Matching 匹配

Maxwell 麦克斯韦

Mean free path 平均自由程

Meandered emitter junction 梳状发射极结

Mean time before failure(MTBF) 平均工作时间

MTBF 平均工作时间

Megeto resistance 磁阻

Mesa 台面

MESFET Metal Semiconductor 金属半导体FET

Metallization 金属化

Microel ectronic technique 微电子技术

Microel ectronics 微电子学

Millen indices 密勒指数

Minority carrier 少数载流子

Misfit 失配

Mismatching 失配

Mobile ions 可动离子

Mobility 迁移率

Module 模块

Modulate 调制

Molecular crystal 分子晶体

Monolithic IC 单片IC.MOSFET金属氧化物半导体场效应晶体管

Mos. Transistor(MOST) MOS.晶体管

MOST MOS.晶体管

Multiplication 倍增

Modulator 调制

Multi chip IC 多芯片IC

Multi chip module(MCM) 多芯片模块

Multiplication coefficient 倍增因子

Naked chip 未封装的芯片(裸片)

Negative feedback 负反馈

Negative resistance 负阻

Nesting 套刻

Negative temperature coefficient 负温度系数

Noise margin 噪声容限

Nonequilibrium 非平衡

Nonrolatile 非挥发(易失)性

Normally off 常闭

Normally on 常开

Numerical analysis 数值分析

Occupied band 满带

Officienay 功率

Offset 偏移、失调

On standby 待命状态

Ohmic contact 欧姆接触

Open circuit 开路

Operating point 工作点

Operating bias 工作偏置

Operational amplifier (OPAMP) 运算放大器

OPAMP 运算放大器

Optical photon 光子

photon 光子

Optical quenching 光猝灭

Optical transition 光跃迁

Optical coupled isolator 光耦合隔离器

Organic semiconductor 有机半导体

Orientation 晶向、定向

Outline 外形

Out of contact mask 非接触式掩模

Output characteristic 输出特性

Output voltage swing 输出电压摆幅

Overcompensation 过补偿

Over current protection 过流保护

Over shoot 过冲

Over voltage protection 过压保护

Overlap 交迭

Overload 过载

Oscillator 振荡器

Oxide 氧化物

Oxidation 氧化

Oxide passivation 氧化层钝化

Package 封装

Pad 压焊点

Parameter 参数

Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡

Passination 钝化

Passive component 无源元件

Passive device 无源器件

Passive surface 钝化界面

Parasitic transistor 寄生晶体管

Peak point voltage 峰点电压

Peak voltage 峰值

电压

Permanent storage circuit 永久存储电路

Period 周期

Periodic table 周期表

Permeable base 可渗透基区

Phase lock loop 锁相环

Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导

Photo diode 光电二极管

Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件

Photolithographic process 光刻工艺

resist 抗腐蚀剂

photo resist 光敏抗腐蚀剂

Pin 管脚

Pinch off 夹断

Pinning of Fermi level 费米能级的钉扎(效应)

Planar process 平面工艺

Planar transistor 平面晶体管

Plasma 等离子体

Plezoelectric effect 压电效应

Poisson equation 泊松方程

Point contact 点接触

Polarity 极性

Polycrystal 多晶

Polymer semiconductor 聚合物半导体

Poly silicon 多晶硅

Potential (电)势

Potential barrier 势垒

Potential well 势阱

Power dissipation 功耗

Power transistor 功率晶体管

Preamplifier 前置放大器

Primary flat 主平面

Principal axes 主轴

Print circuit board(PCB) 印制电路板

PCB 印制电路板

Probability 几率

Probe 探针

Process 工艺

Propagation delay 传输延时

Pseudopotential method 膺势发

Punch through 穿通

Pulse triggering 脉冲触发Pulse

Pulse modulating 脉冲调制Pulse

Widen Modulator(PWM) 脉冲宽度调制

PWM 脉冲宽度调制

Punchthrough 穿通

Push pull stage 推挽级

Quality factor 品质因子

Quantization 量子化

Quantum 量子

Quantum efficiency 量子效应

Quantum mechanics 量子力学

Quasi Fermi-level 准费米能级

Quartz 石英

Radiation conductivity 辐射电导率

Radiation damage 辐射损伤

Radiation flux density 辐射通量密度

Radiation hardening 辐射加固

Radiation protection 辐射保护

Radiative recombination 辐照复合

Radioactive 放射性

Reach through 穿通

Reactive sputtering source 反应溅射源

Read diode 里德二极管

Recombination 复合

Recovery diode 恢复二极管

Reciprocal lattice 倒核子

Recovery time 恢复时间

Rectifier 整流器(管)

Rectifying contact 整流接触

Reference 基准、基准点 参考点

Refractive index 折射率

Register 寄存器

Registration 对准

Regulate 控制、调整

Relaxation lifetime 驰豫时间

Reliability 可靠性

Resonance 谐振

Resistance 电阻

Resistor 电阻器

Resistivity 电阻率

Re

gulator 稳压管(器)

Relaxation 驰豫

Resonant frequency 共射频率

Response time 响应时间

Reverse 反向的

Reverse bias 反向偏置

Sampling circuit 取样电路

Sapphire 蓝宝石(Al2O3)

Satellite valley 卫星谷

Saturated current range 电流饱和区

Saturation region 饱和区

Saturation 饱和的

Scaled down 按比例缩小

Scattering 散射

Schockley diode 肖克莱二极管

Schottky 肖特基

Schottky barrier 肖特基势垒

Schottky contact 肖特基接触

Schrodingen 薛定厄

Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶

Segregation 分凝

Selectivity 选择性

Self aligned 自对准的

Self diffusion 自扩散

Semiconductor 半导体

Semiconductor controlled rectifier 可控硅

Sendsitivity 灵敏度

Serial 串行/串联

Series inductance 串联电感

Settle time 建立时间

Sheet resistance 薄层电阻

Shield 屏蔽

Short circuit 短路

Shot noise 散粒噪声

Shunt 分流

Sidewall capacitance 边墙电容

Signal 信号

Silica glass 石英玻璃

Silicon 硅

Silicon carbide 碳化硅

Silicon dioxide (SiO2) 二氧化硅

Silicon Nitride(Si3N4) 氮化硅

Silicon On Insulator 绝缘硅

Siliver whiskers 银须

Simple cubic 简立方

Single crystal 单晶

Sink 沉

Skin effect 趋肤效应

Snap time 急变时间

Sneak path 潜行通路

Sulethreshold 亚阈的

Solar battery/cell 太阳能电池

Solid circuit 固体电路

Solid Solubility 固溶度

Sonband 子带

Source 源极

Source follower 源随器

Space charge 空间电荷

Specific heat(PT) 热

Speed power product 速度功耗乘积

Spherical 球面的

Spin 自旋

Split 分裂

Spontaneous emission 自发发射

Spreading resistance 扩展电阻

Sputter 溅射

Stacking fault 层错

Static characteristic 静态特性

Stimulated emission 受激发射

Stimulated recombination 受激复合

Storage time 存储时间

Stress 应力

Straggle 偏差

Sublimation 升华

Substrate 衬底

Substitutional 替位式的

Superlattice 超晶格

Supply 电源

Surface 表面

Surge capacity 浪涌能力

Subscript 下标

Switching time 开关时间

Switch 开关

Tailing 扩展

Terminal 终端

Tensor 张量

Tensorial 张量的

Thermal activation 热激发

Thermal conductivity 热导率

Thermal equilibrium 热平衡

Thermal Oxidation 热氧化

Thermal resistance 热阻

Thermal sink 热沉

Thermal velocity 热运动

Thermoel ectricpovoer 温差电动势率

Thick film technique 厚膜技术

Thin film hybrid IC薄膜混合集成电路

Thin Film Transistor(TFT) 薄膜晶体

Threshlod 阈值

Thyistor 晶闸管

Transconductance 跨导

Transfer characteristic 转移特性

Transfer electron 转移电子

Transfer function 传输函数

Transient 瞬态的

Transistor aging(stress) 晶体管老化

Transit time 渡越时间

Transition 跃迁

Transition metal silica 过度金属硅化物

Transition probability 跃迁几率

Transition region 过渡区

Transport 输运

Transverse 横向的

Trap 陷阱

Trapping 俘获

Trapped charge 陷阱电荷

Triangle generator 三角波发生器

Triboelectricity 摩擦电

Trigger 触发

Trim 调配、调整

Triple diffusion 三重扩散

Truth table 真值表

Tolerahce 容差

Tunnel 隧道

Tunneling 隧穿

Tunnel current 隧道电流

Turn over 转折

Turn off time 关断时间

Ultraviolet 紫外的

Unijunction 单结的

Unipolar 单极的

Unit cell 原(元)胞

Unity gain frequency 单位增益频率

Unilateral switch 单向开关

Vacancy 空位

Vacuum 真空

Valence(value) band 价带

Value band edge 价带顶

Valence bond 价键

Vapour phase 汽相

Varactor 变容管

Varistor 变阻器

Vibration 振动

Voltage 电压

Wafer 晶片

Wave equation 波动方程

Wave guide 波导

Wave number 波数

Wave particle duality 波粒二相性

Wear out 烧毁

Wire routing 布线

Work function 功函数

Worst case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

Zone melting 区熔法


相关内容

  • 检索语言在医学信息管理与检索中的应用综述
  • 作者:陈永莉洪漪 图书情报知识 2015年09期 [中图分类号]G254.24:G354 [文献标识码]A [文章编号]1003-2797(2015)03-0072-08 DOI:10.13366/j.dik.2015.03.072 1 引言 近些年来,信息技术和网络技术的飞速发展大大推动了医学信息 ...

  • 国内外护理信息化实践现状-2010-许燕
  • 特别策划・护理信息化建设 Special Planning 国内外护理信息化实践现状 ◆许燕 信息技术的飞速发展带动了全球医疗信息化的建设步伐,护理作为医疗不可或缺的部分,其信息化也被越来越多的专业人士所重视,希望借助信息科技提升护理质量和护理水平.本文将从护理信息学教育.护理术语及分类标准化.护理 ...

  • 汽车专业术语解释大全
  • 全球最详细汽车专业术语名次解释 4WD-四轮驱动系统 ABS-防抱死制动系统 A-TRC-车身主动循迹控制系统 Ap-恒时全*驱动 AS-转向臂 Az-接通式全*驱动 ASM-动态稳定系统 AYC-主动偏行系统 ADS-可调式减震系统 ADC-电子空气控制悬挂系统(奔驰) AIRMATICDC-(双 ...

  • 电商扫盲专业术语
  • 电子商务扫盲 1, 电子商务介绍: 概念:电子商务,英文名Electronic Commerce,简称EC . 2, 电子商务模式(常见类) : B2B 模式,Business to Business-企业对企业,例子:阿里巴巴,生意宝(网盛科技) .慧聪网. B2C 模式,Business to ...

  • 光催化领域中的专业术语
  • 光催化领域中的专业术语 一. 半导体与电解液界面有关的概念 表面能带弯曲:由于表面分子或离子的吸附形成的表面电荷势或者由于表面缺陷而形成相对于体内的不同的电势分布, 而导致半导体的导带和价带在表面发生弯曲的现象. 积累层:由于半导体的表面能带弯曲而使得半导体的多数载流子积累到半导体的表面附近, 这层 ...

  • 会计专业英语词汇的特征及学习策略
  • 摘要:词汇是英语的基础,学习会计英语词汇是学习会计英语的第一步,会计英语词汇量的大小直接影响学生对会计英语的把握和运用.所以利用科学的方法来学习记忆并熟练运用会计英语词汇是学习会计英语的基础.本文探讨了会计专业英语词汇的特征,提出了会计英语词汇学习的一些策略和方法,以提高会计英语词汇学习的效率,从而 ...

  • 教学大纲_企业经营统计学
  • <企业经营统计学>教学大纲 (The Statistics for Enterprise Management,02200500) 一.前言 1.课程性质 本课程全面介绍了现代企业经营统计的基本内容和方法.详细介绍了企业统计调查与预测方法.企业投入产出统计指标和技术,企业采购.库存.销售 ...

  • 材料专业术语英文及简称
  • 专业术语.英文及简称 1. twin screw extruder (TSE). 双螺杆挤出机 2. single screw extruder. 单螺杆挤出机 4. negative temperature coefficient (NTC)负温度效应 5. positive temperatur ...

  • 运算放大器的专业术语的英文翻译
  • 运放的专业术语(翻译) -- EDN电子设计技术 运算放大器的专业术语 1 bandwidth 带宽: 电压增益变成低频时1/(2 )的频率值 2 共模抑制比:common mode rejection ratio 3谐波失真:harmonic distortion 谐波电压的均方根值的和/基波电压 ...

  • 科学报告英语术语翻译研究
  • 摘 要:科技术语翻译在科技发展.传播和交流过程中扮演着重要角色,由于专业知识和术语构成的复杂性,科技术语翻译成为科技翻译中的瓶颈.文章针对目前科学报告术语翻译混乱和翻译标准不一致的问题,从<联合国教科文组织科学报告2010>中选取典型术语翻译实例,在当前科技术语翻译研究的基础上,试从三个 ...